Commit 7dd921d6 authored by Cosimo Streppone's avatar Cosimo Streppone Committed by Tollef Fog Heen

Add missing header for string concatention operator

parent 8c534e25
......@@ -9,6 +9,8 @@ Changes to VCL
In most cases you need to update your VCL since there has been some changes to the syntax.
string concatenation operator
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
String concatenation did not have an operator previously, but this has now been changed to ``+``.
``log`` moved to the std vmod
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment