Commit 53d312c4 authored by Poul-Henning Kamp's avatar Poul-Henning Kamp

Add necessary include

parent f20f1d21
......@@ -38,6 +38,7 @@
#include <fcntl.h>
#include <poll.h>
#include <signal.h>
#include <stdarg.h>
#include <stdio.h>
#include <string.h>
#include <syslog.h>
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment