Commit 7b3bfa0d authored by Poul-Henning Kamp's avatar Poul-Henning Kamp

Remove unused VSC counters

parent cd64cd80
......@@ -449,29 +449,6 @@ VSC_F(shm_cycles, uint64_t, 0, 'a', diag,
/*--------------------------------------------------------------------*/
VSC_F(sms_nreq, uint64_t, 0, 'a', diag,
"SMS allocator requests",
""
)
VSC_F(sms_nobj, uint64_t, 0, 'i', diag,
"SMS outstanding allocations",
""
)
VSC_F(sms_nbytes, uint64_t, 0, 'i', diag,
"SMS outstanding bytes",
""
)
VSC_F(sms_balloc, uint64_t, 0, 'i', diag,
"SMS bytes allocated",
""
)
VSC_F(sms_bfree, uint64_t, 0, 'i', diag,
"SMS bytes freed",
""
)
/*--------------------------------------------------------------------*/
VSC_F(backend_req, uint64_t, 0, 'a', info,
"Backend requests made",
""
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment